watchdog: xilinx: Use correct comment indentation
authorMichal Simek <michal.simek@xilinx.com>
Wed, 12 Feb 2014 13:41:22 +0000 (14:41 +0100)
committerWim Van Sebroeck <wim@iguana.be>
Mon, 31 Mar 2014 11:29:21 +0000 (13:29 +0200)
commit75b3c5a827de11620f9bf7cbd6c3e4208bd3ddf5
tree96ad2b15d34e755e08fe38389a22746beb1d84d4
parent2e79a368473d55db3237120dea0f561660dac5bd
watchdog: xilinx: Use correct comment indentation

No functional changes.

Signed-off-by: Michal Simek <michal.simek@xilinx.com>
Reviewed-by: Guenter Roeck <linux@roeck-us.net>
Signed-off-by: Wim Van Sebroeck <wim@iguana.be>
drivers/watchdog/of_xilinx_wdt.c