4349b25807cf811ffc7d9873633feba8ceda5a1c
[linux-2.6-microblaze.git] / arch / powerpc / kernel / traps.c
1 // SPDX-License-Identifier: GPL-2.0-or-later
2 /*
3  *  Copyright (C) 1995-1996  Gary Thomas (gdt@linuxppc.org)
4  *  Copyright 2007-2010 Freescale Semiconductor, Inc.
5  *
6  *  Modified by Cort Dougan (cort@cs.nmt.edu)
7  *  and Paul Mackerras (paulus@samba.org)
8  */
9
10 /*
11  * This file handles the architecture-dependent parts of hardware exceptions
12  */
13
14 #include <linux/errno.h>
15 #include <linux/sched.h>
16 #include <linux/sched/debug.h>
17 #include <linux/kernel.h>
18 #include <linux/mm.h>
19 #include <linux/pkeys.h>
20 #include <linux/stddef.h>
21 #include <linux/unistd.h>
22 #include <linux/ptrace.h>
23 #include <linux/user.h>
24 #include <linux/interrupt.h>
25 #include <linux/init.h>
26 #include <linux/extable.h>
27 #include <linux/module.h>       /* print_modules */
28 #include <linux/prctl.h>
29 #include <linux/delay.h>
30 #include <linux/kprobes.h>
31 #include <linux/kexec.h>
32 #include <linux/backlight.h>
33 #include <linux/bug.h>
34 #include <linux/kdebug.h>
35 #include <linux/ratelimit.h>
36 #include <linux/context_tracking.h>
37 #include <linux/smp.h>
38 #include <linux/console.h>
39 #include <linux/kmsg_dump.h>
40
41 #include <asm/emulated_ops.h>
42 #include <linux/uaccess.h>
43 #include <asm/debugfs.h>
44 #include <asm/io.h>
45 #include <asm/machdep.h>
46 #include <asm/rtas.h>
47 #include <asm/pmc.h>
48 #include <asm/reg.h>
49 #ifdef CONFIG_PMAC_BACKLIGHT
50 #include <asm/backlight.h>
51 #endif
52 #ifdef CONFIG_PPC64
53 #include <asm/firmware.h>
54 #include <asm/processor.h>
55 #include <asm/tm.h>
56 #endif
57 #include <asm/kexec.h>
58 #include <asm/ppc-opcode.h>
59 #include <asm/rio.h>
60 #include <asm/fadump.h>
61 #include <asm/switch_to.h>
62 #include <asm/tm.h>
63 #include <asm/debug.h>
64 #include <asm/asm-prototypes.h>
65 #include <asm/hmi.h>
66 #include <sysdev/fsl_pci.h>
67 #include <asm/kprobes.h>
68 #include <asm/stacktrace.h>
69 #include <asm/nmi.h>
70
71 #if defined(CONFIG_DEBUGGER) || defined(CONFIG_KEXEC_CORE)
72 int (*__debugger)(struct pt_regs *regs) __read_mostly;
73 int (*__debugger_ipi)(struct pt_regs *regs) __read_mostly;
74 int (*__debugger_bpt)(struct pt_regs *regs) __read_mostly;
75 int (*__debugger_sstep)(struct pt_regs *regs) __read_mostly;
76 int (*__debugger_iabr_match)(struct pt_regs *regs) __read_mostly;
77 int (*__debugger_break_match)(struct pt_regs *regs) __read_mostly;
78 int (*__debugger_fault_handler)(struct pt_regs *regs) __read_mostly;
79
80 EXPORT_SYMBOL(__debugger);
81 EXPORT_SYMBOL(__debugger_ipi);
82 EXPORT_SYMBOL(__debugger_bpt);
83 EXPORT_SYMBOL(__debugger_sstep);
84 EXPORT_SYMBOL(__debugger_iabr_match);
85 EXPORT_SYMBOL(__debugger_break_match);
86 EXPORT_SYMBOL(__debugger_fault_handler);
87 #endif
88
89 /* Transactional Memory trap debug */
90 #ifdef TM_DEBUG_SW
91 #define TM_DEBUG(x...) printk(KERN_INFO x)
92 #else
93 #define TM_DEBUG(x...) do { } while(0)
94 #endif
95
96 static const char *signame(int signr)
97 {
98         switch (signr) {
99         case SIGBUS:    return "bus error";
100         case SIGFPE:    return "floating point exception";
101         case SIGILL:    return "illegal instruction";
102         case SIGSEGV:   return "segfault";
103         case SIGTRAP:   return "unhandled trap";
104         }
105
106         return "unknown signal";
107 }
108
109 /*
110  * Trap & Exception support
111  */
112
113 #ifdef CONFIG_PMAC_BACKLIGHT
114 static void pmac_backlight_unblank(void)
115 {
116         mutex_lock(&pmac_backlight_mutex);
117         if (pmac_backlight) {
118                 struct backlight_properties *props;
119
120                 props = &pmac_backlight->props;
121                 props->brightness = props->max_brightness;
122                 props->power = FB_BLANK_UNBLANK;
123                 backlight_update_status(pmac_backlight);
124         }
125         mutex_unlock(&pmac_backlight_mutex);
126 }
127 #else
128 static inline void pmac_backlight_unblank(void) { }
129 #endif
130
131 /*
132  * If oops/die is expected to crash the machine, return true here.
133  *
134  * This should not be expected to be 100% accurate, there may be
135  * notifiers registered or other unexpected conditions that may bring
136  * down the kernel. Or if the current process in the kernel is holding
137  * locks or has other critical state, the kernel may become effectively
138  * unusable anyway.
139  */
140 bool die_will_crash(void)
141 {
142         if (should_fadump_crash())
143                 return true;
144         if (kexec_should_crash(current))
145                 return true;
146         if (in_interrupt() || panic_on_oops ||
147                         !current->pid || is_global_init(current))
148                 return true;
149
150         return false;
151 }
152
153 static arch_spinlock_t die_lock = __ARCH_SPIN_LOCK_UNLOCKED;
154 static int die_owner = -1;
155 static unsigned int die_nest_count;
156 static int die_counter;
157
158 extern void panic_flush_kmsg_start(void)
159 {
160         /*
161          * These are mostly taken from kernel/panic.c, but tries to do
162          * relatively minimal work. Don't use delay functions (TB may
163          * be broken), don't crash dump (need to set a firmware log),
164          * don't run notifiers. We do want to get some information to
165          * Linux console.
166          */
167         console_verbose();
168         bust_spinlocks(1);
169 }
170
171 extern void panic_flush_kmsg_end(void)
172 {
173         printk_safe_flush_on_panic();
174         kmsg_dump(KMSG_DUMP_PANIC);
175         bust_spinlocks(0);
176         debug_locks_off();
177         console_flush_on_panic(CONSOLE_FLUSH_PENDING);
178 }
179
180 static unsigned long oops_begin(struct pt_regs *regs)
181 {
182         int cpu;
183         unsigned long flags;
184
185         oops_enter();
186
187         /* racy, but better than risking deadlock. */
188         raw_local_irq_save(flags);
189         cpu = smp_processor_id();
190         if (!arch_spin_trylock(&die_lock)) {
191                 if (cpu == die_owner)
192                         /* nested oops. should stop eventually */;
193                 else
194                         arch_spin_lock(&die_lock);
195         }
196         die_nest_count++;
197         die_owner = cpu;
198         console_verbose();
199         bust_spinlocks(1);
200         if (machine_is(powermac))
201                 pmac_backlight_unblank();
202         return flags;
203 }
204 NOKPROBE_SYMBOL(oops_begin);
205
206 static void oops_end(unsigned long flags, struct pt_regs *regs,
207                                int signr)
208 {
209         bust_spinlocks(0);
210         add_taint(TAINT_DIE, LOCKDEP_NOW_UNRELIABLE);
211         die_nest_count--;
212         oops_exit();
213         printk("\n");
214         if (!die_nest_count) {
215                 /* Nest count reaches zero, release the lock. */
216                 die_owner = -1;
217                 arch_spin_unlock(&die_lock);
218         }
219         raw_local_irq_restore(flags);
220
221         /*
222          * system_reset_excption handles debugger, crash dump, panic, for 0x100
223          */
224         if (TRAP(regs) == 0x100)
225                 return;
226
227         crash_fadump(regs, "die oops");
228
229         if (kexec_should_crash(current))
230                 crash_kexec(regs);
231
232         if (!signr)
233                 return;
234
235         /*
236          * While our oops output is serialised by a spinlock, output
237          * from panic() called below can race and corrupt it. If we
238          * know we are going to panic, delay for 1 second so we have a
239          * chance to get clean backtraces from all CPUs that are oopsing.
240          */
241         if (in_interrupt() || panic_on_oops || !current->pid ||
242             is_global_init(current)) {
243                 mdelay(MSEC_PER_SEC);
244         }
245
246         if (panic_on_oops)
247                 panic("Fatal exception");
248         do_exit(signr);
249 }
250 NOKPROBE_SYMBOL(oops_end);
251
252 static char *get_mmu_str(void)
253 {
254         if (early_radix_enabled())
255                 return " MMU=Radix";
256         if (early_mmu_has_feature(MMU_FTR_HPTE_TABLE))
257                 return " MMU=Hash";
258         return "";
259 }
260
261 static int __die(const char *str, struct pt_regs *regs, long err)
262 {
263         printk("Oops: %s, sig: %ld [#%d]\n", str, err, ++die_counter);
264
265         printk("%s PAGE_SIZE=%luK%s%s%s%s%s%s %s\n",
266                IS_ENABLED(CONFIG_CPU_LITTLE_ENDIAN) ? "LE" : "BE",
267                PAGE_SIZE / 1024, get_mmu_str(),
268                IS_ENABLED(CONFIG_PREEMPT) ? " PREEMPT" : "",
269                IS_ENABLED(CONFIG_SMP) ? " SMP" : "",
270                IS_ENABLED(CONFIG_SMP) ? (" NR_CPUS=" __stringify(NR_CPUS)) : "",
271                debug_pagealloc_enabled() ? " DEBUG_PAGEALLOC" : "",
272                IS_ENABLED(CONFIG_NUMA) ? " NUMA" : "",
273                ppc_md.name ? ppc_md.name : "");
274
275         if (notify_die(DIE_OOPS, str, regs, err, 255, SIGSEGV) == NOTIFY_STOP)
276                 return 1;
277
278         print_modules();
279         show_regs(regs);
280
281         return 0;
282 }
283 NOKPROBE_SYMBOL(__die);
284
285 void die(const char *str, struct pt_regs *regs, long err)
286 {
287         unsigned long flags;
288
289         /*
290          * system_reset_excption handles debugger, crash dump, panic, for 0x100
291          */
292         if (TRAP(regs) != 0x100) {
293                 if (debugger(regs))
294                         return;
295         }
296
297         flags = oops_begin(regs);
298         if (__die(str, regs, err))
299                 err = 0;
300         oops_end(flags, regs, err);
301 }
302 NOKPROBE_SYMBOL(die);
303
304 void user_single_step_report(struct pt_regs *regs)
305 {
306         force_sig_fault(SIGTRAP, TRAP_TRACE, (void __user *)regs->nip);
307 }
308
309 static void show_signal_msg(int signr, struct pt_regs *regs, int code,
310                             unsigned long addr)
311 {
312         static DEFINE_RATELIMIT_STATE(rs, DEFAULT_RATELIMIT_INTERVAL,
313                                       DEFAULT_RATELIMIT_BURST);
314
315         if (!show_unhandled_signals)
316                 return;
317
318         if (!unhandled_signal(current, signr))
319                 return;
320
321         if (!__ratelimit(&rs))
322                 return;
323
324         pr_info("%s[%d]: %s (%d) at %lx nip %lx lr %lx code %x",
325                 current->comm, current->pid, signame(signr), signr,
326                 addr, regs->nip, regs->link, code);
327
328         print_vma_addr(KERN_CONT " in ", regs->nip);
329
330         pr_cont("\n");
331
332         show_user_instructions(regs);
333 }
334
335 static bool exception_common(int signr, struct pt_regs *regs, int code,
336                               unsigned long addr)
337 {
338         if (!user_mode(regs)) {
339                 die("Exception in kernel mode", regs, signr);
340                 return false;
341         }
342
343         show_signal_msg(signr, regs, code, addr);
344
345         if (arch_irqs_disabled() && !arch_irq_disabled_regs(regs))
346                 local_irq_enable();
347
348         current->thread.trap_nr = code;
349
350         return true;
351 }
352
353 void _exception_pkey(struct pt_regs *regs, unsigned long addr, int key)
354 {
355         if (!exception_common(SIGSEGV, regs, SEGV_PKUERR, addr))
356                 return;
357
358         force_sig_pkuerr((void __user *) addr, key);
359 }
360
361 void _exception(int signr, struct pt_regs *regs, int code, unsigned long addr)
362 {
363         if (!exception_common(signr, regs, code, addr))
364                 return;
365
366         force_sig_fault(signr, code, (void __user *)addr);
367 }
368
369 /*
370  * The interrupt architecture has a quirk in that the HV interrupts excluding
371  * the NMIs (0x100 and 0x200) do not clear MSR[RI] at entry. The first thing
372  * that an interrupt handler must do is save off a GPR into a scratch register,
373  * and all interrupts on POWERNV (HV=1) use the HSPRG1 register as scratch.
374  * Therefore an NMI can clobber an HV interrupt's live HSPRG1 without noticing
375  * that it is non-reentrant, which leads to random data corruption.
376  *
377  * The solution is for NMI interrupts in HV mode to check if they originated
378  * from these critical HV interrupt regions. If so, then mark them not
379  * recoverable.
380  *
381  * An alternative would be for HV NMIs to use SPRG for scratch to avoid the
382  * HSPRG1 clobber, however this would cause guest SPRG to be clobbered. Linux
383  * guests should always have MSR[RI]=0 when its scratch SPRG is in use, so
384  * that would work. However any other guest OS that may have the SPRG live
385  * and MSR[RI]=1 could encounter silent corruption.
386  *
387  * Builds that do not support KVM could take this second option to increase
388  * the recoverability of NMIs.
389  */
390 void hv_nmi_check_nonrecoverable(struct pt_regs *regs)
391 {
392 #ifdef CONFIG_PPC_POWERNV
393         unsigned long kbase = (unsigned long)_stext;
394         unsigned long nip = regs->nip;
395
396         if (!(regs->msr & MSR_RI))
397                 return;
398         if (!(regs->msr & MSR_HV))
399                 return;
400         if (regs->msr & MSR_PR)
401                 return;
402
403         /*
404          * Now test if the interrupt has hit a range that may be using
405          * HSPRG1 without having RI=0 (i.e., an HSRR interrupt). The
406          * problem ranges all run un-relocated. Test real and virt modes
407          * at the same time by droping the high bit of the nip (virt mode
408          * entry points still have the +0x4000 offset).
409          */
410         nip &= ~0xc000000000000000ULL;
411         if ((nip >= 0x500 && nip < 0x600) || (nip >= 0x4500 && nip < 0x4600))
412                 goto nonrecoverable;
413         if ((nip >= 0x980 && nip < 0xa00) || (nip >= 0x4980 && nip < 0x4a00))
414                 goto nonrecoverable;
415         if ((nip >= 0xe00 && nip < 0xec0) || (nip >= 0x4e00 && nip < 0x4ec0))
416                 goto nonrecoverable;
417         if ((nip >= 0xf80 && nip < 0xfa0) || (nip >= 0x4f80 && nip < 0x4fa0))
418                 goto nonrecoverable;
419
420         /* Trampoline code runs un-relocated so subtract kbase. */
421         if (nip >= (unsigned long)(start_real_trampolines - kbase) &&
422                         nip < (unsigned long)(end_real_trampolines - kbase))
423                 goto nonrecoverable;
424         if (nip >= (unsigned long)(start_virt_trampolines - kbase) &&
425                         nip < (unsigned long)(end_virt_trampolines - kbase))
426                 goto nonrecoverable;
427         return;
428
429 nonrecoverable:
430         regs->msr &= ~MSR_RI;
431 #endif
432 }
433
434 void system_reset_exception(struct pt_regs *regs)
435 {
436         unsigned long hsrr0, hsrr1;
437         bool saved_hsrrs = false;
438         u8 ftrace_enabled = this_cpu_get_ftrace_enabled();
439
440         this_cpu_set_ftrace_enabled(0);
441
442         nmi_enter();
443
444         /*
445          * System reset can interrupt code where HSRRs are live and MSR[RI]=1.
446          * The system reset interrupt itself may clobber HSRRs (e.g., to call
447          * OPAL), so save them here and restore them before returning.
448          *
449          * Machine checks don't need to save HSRRs, as the real mode handler
450          * is careful to avoid them, and the regular handler is not delivered
451          * as an NMI.
452          */
453         if (cpu_has_feature(CPU_FTR_HVMODE)) {
454                 hsrr0 = mfspr(SPRN_HSRR0);
455                 hsrr1 = mfspr(SPRN_HSRR1);
456                 saved_hsrrs = true;
457         }
458
459         hv_nmi_check_nonrecoverable(regs);
460
461         __this_cpu_inc(irq_stat.sreset_irqs);
462
463         /* See if any machine dependent calls */
464         if (ppc_md.system_reset_exception) {
465                 if (ppc_md.system_reset_exception(regs))
466                         goto out;
467         }
468
469         if (debugger(regs))
470                 goto out;
471
472         kmsg_dump(KMSG_DUMP_OOPS);
473         /*
474          * A system reset is a request to dump, so we always send
475          * it through the crashdump code (if fadump or kdump are
476          * registered).
477          */
478         crash_fadump(regs, "System Reset");
479
480         crash_kexec(regs);
481
482         /*
483          * We aren't the primary crash CPU. We need to send it
484          * to a holding pattern to avoid it ending up in the panic
485          * code.
486          */
487         crash_kexec_secondary(regs);
488
489         /*
490          * No debugger or crash dump registered, print logs then
491          * panic.
492          */
493         die("System Reset", regs, SIGABRT);
494
495         mdelay(2*MSEC_PER_SEC); /* Wait a little while for others to print */
496         add_taint(TAINT_DIE, LOCKDEP_NOW_UNRELIABLE);
497         nmi_panic(regs, "System Reset");
498
499 out:
500 #ifdef CONFIG_PPC_BOOK3S_64
501         BUG_ON(get_paca()->in_nmi == 0);
502         if (get_paca()->in_nmi > 1)
503                 die("Unrecoverable nested System Reset", regs, SIGABRT);
504 #endif
505         /* Must die if the interrupt is not recoverable */
506         if (!(regs->msr & MSR_RI))
507                 die("Unrecoverable System Reset", regs, SIGABRT);
508
509         if (saved_hsrrs) {
510                 mtspr(SPRN_HSRR0, hsrr0);
511                 mtspr(SPRN_HSRR1, hsrr1);
512         }
513
514         nmi_exit();
515
516         this_cpu_set_ftrace_enabled(ftrace_enabled);
517
518         /* What should we do here? We could issue a shutdown or hard reset. */
519 }
520 NOKPROBE_SYMBOL(system_reset_exception);
521
522 /*
523  * I/O accesses can cause machine checks on powermacs.
524  * Check if the NIP corresponds to the address of a sync
525  * instruction for which there is an entry in the exception
526  * table.
527  *  -- paulus.
528  */
529 static inline int check_io_access(struct pt_regs *regs)
530 {
531 #ifdef CONFIG_PPC32
532         unsigned long msr = regs->msr;
533         const struct exception_table_entry *entry;
534         unsigned int *nip = (unsigned int *)regs->nip;
535
536         if (((msr & 0xffff0000) == 0 || (msr & (0x80000 | 0x40000)))
537             && (entry = search_exception_tables(regs->nip)) != NULL) {
538                 /*
539                  * Check that it's a sync instruction, or somewhere
540                  * in the twi; isync; nop sequence that inb/inw/inl uses.
541                  * As the address is in the exception table
542                  * we should be able to read the instr there.
543                  * For the debug message, we look at the preceding
544                  * load or store.
545                  */
546                 if (*nip == PPC_INST_NOP)
547                         nip -= 2;
548                 else if (*nip == PPC_INST_ISYNC)
549                         --nip;
550                 if (*nip == PPC_INST_SYNC || (*nip >> 26) == OP_TRAP) {
551                         unsigned int rb;
552
553                         --nip;
554                         rb = (*nip >> 11) & 0x1f;
555                         printk(KERN_DEBUG "%s bad port %lx at %p\n",
556                                (*nip & 0x100)? "OUT to": "IN from",
557                                regs->gpr[rb] - _IO_BASE, nip);
558                         regs->msr |= MSR_RI;
559                         regs->nip = extable_fixup(entry);
560                         return 1;
561                 }
562         }
563 #endif /* CONFIG_PPC32 */
564         return 0;
565 }
566
567 #ifdef CONFIG_PPC_ADV_DEBUG_REGS
568 /* On 4xx, the reason for the machine check or program exception
569    is in the ESR. */
570 #define get_reason(regs)        ((regs)->dsisr)
571 #define REASON_FP               ESR_FP
572 #define REASON_ILLEGAL          (ESR_PIL | ESR_PUO)
573 #define REASON_PRIVILEGED       ESR_PPR
574 #define REASON_TRAP             ESR_PTR
575 #define REASON_PREFIXED         0
576 #define REASON_BOUNDARY         0
577
578 /* single-step stuff */
579 #define single_stepping(regs)   (current->thread.debug.dbcr0 & DBCR0_IC)
580 #define clear_single_step(regs) (current->thread.debug.dbcr0 &= ~DBCR0_IC)
581 #define clear_br_trace(regs)    do {} while(0)
582 #else
583 /* On non-4xx, the reason for the machine check or program
584    exception is in the MSR. */
585 #define get_reason(regs)        ((regs)->msr)
586 #define REASON_TM               SRR1_PROGTM
587 #define REASON_FP               SRR1_PROGFPE
588 #define REASON_ILLEGAL          SRR1_PROGILL
589 #define REASON_PRIVILEGED       SRR1_PROGPRIV
590 #define REASON_TRAP             SRR1_PROGTRAP
591 #define REASON_PREFIXED         SRR1_PREFIXED
592 #define REASON_BOUNDARY         SRR1_BOUNDARY
593
594 #define single_stepping(regs)   ((regs)->msr & MSR_SE)
595 #define clear_single_step(regs) ((regs)->msr &= ~MSR_SE)
596 #define clear_br_trace(regs)    ((regs)->msr &= ~MSR_BE)
597 #endif
598
599 #define inst_length(reason)     (((reason) & REASON_PREFIXED) ? 8 : 4)
600
601 #if defined(CONFIG_E500)
602 int machine_check_e500mc(struct pt_regs *regs)
603 {
604         unsigned long mcsr = mfspr(SPRN_MCSR);
605         unsigned long pvr = mfspr(SPRN_PVR);
606         unsigned long reason = mcsr;
607         int recoverable = 1;
608
609         if (reason & MCSR_LD) {
610                 recoverable = fsl_rio_mcheck_exception(regs);
611                 if (recoverable == 1)
612                         goto silent_out;
613         }
614
615         printk("Machine check in kernel mode.\n");
616         printk("Caused by (from MCSR=%lx): ", reason);
617
618         if (reason & MCSR_MCP)
619                 pr_cont("Machine Check Signal\n");
620
621         if (reason & MCSR_ICPERR) {
622                 pr_cont("Instruction Cache Parity Error\n");
623
624                 /*
625                  * This is recoverable by invalidating the i-cache.
626                  */
627                 mtspr(SPRN_L1CSR1, mfspr(SPRN_L1CSR1) | L1CSR1_ICFI);
628                 while (mfspr(SPRN_L1CSR1) & L1CSR1_ICFI)
629                         ;
630
631                 /*
632                  * This will generally be accompanied by an instruction
633                  * fetch error report -- only treat MCSR_IF as fatal
634                  * if it wasn't due to an L1 parity error.
635                  */
636                 reason &= ~MCSR_IF;
637         }
638
639         if (reason & MCSR_DCPERR_MC) {
640                 pr_cont("Data Cache Parity Error\n");
641
642                 /*
643                  * In write shadow mode we auto-recover from the error, but it
644                  * may still get logged and cause a machine check.  We should
645                  * only treat the non-write shadow case as non-recoverable.
646                  */
647                 /* On e6500 core, L1 DCWS (Data cache write shadow mode) bit
648                  * is not implemented but L1 data cache always runs in write
649                  * shadow mode. Hence on data cache parity errors HW will
650                  * automatically invalidate the L1 Data Cache.
651                  */
652                 if (PVR_VER(pvr) != PVR_VER_E6500) {
653                         if (!(mfspr(SPRN_L1CSR2) & L1CSR2_DCWS))
654                                 recoverable = 0;
655                 }
656         }
657
658         if (reason & MCSR_L2MMU_MHIT) {
659                 pr_cont("Hit on multiple TLB entries\n");
660                 recoverable = 0;
661         }
662
663         if (reason & MCSR_NMI)
664                 pr_cont("Non-maskable interrupt\n");
665
666         if (reason & MCSR_IF) {
667                 pr_cont("Instruction Fetch Error Report\n");
668                 recoverable = 0;
669         }
670
671         if (reason & MCSR_LD) {
672                 pr_cont("Load Error Report\n");
673                 recoverable = 0;
674         }
675
676         if (reason & MCSR_ST) {
677                 pr_cont("Store Error Report\n");
678                 recoverable = 0;
679         }
680
681         if (reason & MCSR_LDG) {
682                 pr_cont("Guarded Load Error Report\n");
683                 recoverable = 0;
684         }
685
686         if (reason & MCSR_TLBSYNC)
687                 pr_cont("Simultaneous tlbsync operations\n");
688
689         if (reason & MCSR_BSL2_ERR) {
690                 pr_cont("Level 2 Cache Error\n");
691                 recoverable = 0;
692         }
693
694         if (reason & MCSR_MAV) {
695                 u64 addr;
696
697                 addr = mfspr(SPRN_MCAR);
698                 addr |= (u64)mfspr(SPRN_MCARU) << 32;
699
700                 pr_cont("Machine Check %s Address: %#llx\n",
701                        reason & MCSR_MEA ? "Effective" : "Physical", addr);
702         }
703
704 silent_out:
705         mtspr(SPRN_MCSR, mcsr);
706         return mfspr(SPRN_MCSR) == 0 && recoverable;
707 }
708
709 int machine_check_e500(struct pt_regs *regs)
710 {
711         unsigned long reason = mfspr(SPRN_MCSR);
712
713         if (reason & MCSR_BUS_RBERR) {
714                 if (fsl_rio_mcheck_exception(regs))
715                         return 1;
716                 if (fsl_pci_mcheck_exception(regs))
717                         return 1;
718         }
719
720         printk("Machine check in kernel mode.\n");
721         printk("Caused by (from MCSR=%lx): ", reason);
722
723         if (reason & MCSR_MCP)
724                 pr_cont("Machine Check Signal\n");
725         if (reason & MCSR_ICPERR)
726                 pr_cont("Instruction Cache Parity Error\n");
727         if (reason & MCSR_DCP_PERR)
728                 pr_cont("Data Cache Push Parity Error\n");
729         if (reason & MCSR_DCPERR)
730                 pr_cont("Data Cache Parity Error\n");
731         if (reason & MCSR_BUS_IAERR)
732                 pr_cont("Bus - Instruction Address Error\n");
733         if (reason & MCSR_BUS_RAERR)
734                 pr_cont("Bus - Read Address Error\n");
735         if (reason & MCSR_BUS_WAERR)
736                 pr_cont("Bus - Write Address Error\n");
737         if (reason & MCSR_BUS_IBERR)
738                 pr_cont("Bus - Instruction Data Error\n");
739         if (reason & MCSR_BUS_RBERR)
740                 pr_cont("Bus - Read Data Bus Error\n");
741         if (reason & MCSR_BUS_WBERR)
742                 pr_cont("Bus - Write Data Bus Error\n");
743         if (reason & MCSR_BUS_IPERR)
744                 pr_cont("Bus - Instruction Parity Error\n");
745         if (reason & MCSR_BUS_RPERR)
746                 pr_cont("Bus - Read Parity Error\n");
747
748         return 0;
749 }
750
751 int machine_check_generic(struct pt_regs *regs)
752 {
753         return 0;
754 }
755 #elif defined(CONFIG_PPC32)
756 int machine_check_generic(struct pt_regs *regs)
757 {
758         unsigned long reason = regs->msr;
759
760         printk("Machine check in kernel mode.\n");
761         printk("Caused by (from SRR1=%lx): ", reason);
762         switch (reason & 0x601F0000) {
763         case 0x80000:
764                 pr_cont("Machine check signal\n");
765                 break;
766         case 0x40000:
767         case 0x140000:  /* 7450 MSS error and TEA */
768                 pr_cont("Transfer error ack signal\n");
769                 break;
770         case 0x20000:
771                 pr_cont("Data parity error signal\n");
772                 break;
773         case 0x10000:
774                 pr_cont("Address parity error signal\n");
775                 break;
776         case 0x20000000:
777                 pr_cont("L1 Data Cache error\n");
778                 break;
779         case 0x40000000:
780                 pr_cont("L1 Instruction Cache error\n");
781                 break;
782         case 0x00100000:
783                 pr_cont("L2 data cache parity error\n");
784                 break;
785         default:
786                 pr_cont("Unknown values in msr\n");
787         }
788         return 0;
789 }
790 #endif /* everything else */
791
792 void machine_check_exception(struct pt_regs *regs)
793 {
794         int recover = 0;
795
796         /*
797          * BOOK3S_64 does not call this handler as a non-maskable interrupt
798          * (it uses its own early real-mode handler to handle the MCE proper
799          * and then raises irq_work to call this handler when interrupts are
800          * enabled).
801          *
802          * This is silly. The BOOK3S_64 should just call a different function
803          * rather than expecting semantics to magically change. Something
804          * like 'non_nmi_machine_check_exception()', perhaps?
805          */
806         const bool nmi = !IS_ENABLED(CONFIG_PPC_BOOK3S_64);
807
808         if (nmi) nmi_enter();
809
810         __this_cpu_inc(irq_stat.mce_exceptions);
811
812         add_taint(TAINT_MACHINE_CHECK, LOCKDEP_NOW_UNRELIABLE);
813
814         /* See if any machine dependent calls. In theory, we would want
815          * to call the CPU first, and call the ppc_md. one if the CPU
816          * one returns a positive number. However there is existing code
817          * that assumes the board gets a first chance, so let's keep it
818          * that way for now and fix things later. --BenH.
819          */
820         if (ppc_md.machine_check_exception)
821                 recover = ppc_md.machine_check_exception(regs);
822         else if (cur_cpu_spec->machine_check)
823                 recover = cur_cpu_spec->machine_check(regs);
824
825         if (recover > 0)
826                 goto bail;
827
828         if (debugger_fault_handler(regs))
829                 goto bail;
830
831         if (check_io_access(regs))
832                 goto bail;
833
834         if (nmi) nmi_exit();
835
836         die("Machine check", regs, SIGBUS);
837
838         /* Must die if the interrupt is not recoverable */
839         if (!(regs->msr & MSR_RI))
840                 die("Unrecoverable Machine check", regs, SIGBUS);
841
842         return;
843
844 bail:
845         if (nmi) nmi_exit();
846 }
847 NOKPROBE_SYMBOL(machine_check_exception);
848
849 void SMIException(struct pt_regs *regs)
850 {
851         die("System Management Interrupt", regs, SIGABRT);
852 }
853
854 #ifdef CONFIG_VSX
855 static void p9_hmi_special_emu(struct pt_regs *regs)
856 {
857         unsigned int ra, rb, t, i, sel, instr, rc;
858         const void __user *addr;
859         u8 vbuf[16] __aligned(16), *vdst;
860         unsigned long ea, msr, msr_mask;
861         bool swap;
862
863         if (__get_user_inatomic(instr, (unsigned int __user *)regs->nip))
864                 return;
865
866         /*
867          * lxvb16x      opcode: 0x7c0006d8
868          * lxvd2x       opcode: 0x7c000698
869          * lxvh8x       opcode: 0x7c000658
870          * lxvw4x       opcode: 0x7c000618
871          */
872         if ((instr & 0xfc00073e) != 0x7c000618) {
873                 pr_devel("HMI vec emu: not vector CI %i:%s[%d] nip=%016lx"
874                          " instr=%08x\n",
875                          smp_processor_id(), current->comm, current->pid,
876                          regs->nip, instr);
877                 return;
878         }
879
880         /* Grab vector registers into the task struct */
881         msr = regs->msr; /* Grab msr before we flush the bits */
882         flush_vsx_to_thread(current);
883         enable_kernel_altivec();
884
885         /*
886          * Is userspace running with a different endian (this is rare but
887          * not impossible)
888          */
889         swap = (msr & MSR_LE) != (MSR_KERNEL & MSR_LE);
890
891         /* Decode the instruction */
892         ra = (instr >> 16) & 0x1f;
893         rb = (instr >> 11) & 0x1f;
894         t = (instr >> 21) & 0x1f;
895         if (instr & 1)
896                 vdst = (u8 *)&current->thread.vr_state.vr[t];
897         else
898                 vdst = (u8 *)&current->thread.fp_state.fpr[t][0];
899
900         /* Grab the vector address */
901         ea = regs->gpr[rb] + (ra ? regs->gpr[ra] : 0);
902         if (is_32bit_task())
903                 ea &= 0xfffffffful;
904         addr = (__force const void __user *)ea;
905
906         /* Check it */
907         if (!access_ok(addr, 16)) {
908                 pr_devel("HMI vec emu: bad access %i:%s[%d] nip=%016lx"
909                          " instr=%08x addr=%016lx\n",
910                          smp_processor_id(), current->comm, current->pid,
911                          regs->nip, instr, (unsigned long)addr);
912                 return;
913         }
914
915         /* Read the vector */
916         rc = 0;
917         if ((unsigned long)addr & 0xfUL)
918                 /* unaligned case */
919                 rc = __copy_from_user_inatomic(vbuf, addr, 16);
920         else
921                 __get_user_atomic_128_aligned(vbuf, addr, rc);
922         if (rc) {
923                 pr_devel("HMI vec emu: page fault %i:%s[%d] nip=%016lx"
924                          " instr=%08x addr=%016lx\n",
925                          smp_processor_id(), current->comm, current->pid,
926                          regs->nip, instr, (unsigned long)addr);
927                 return;
928         }
929
930         pr_devel("HMI vec emu: emulated vector CI %i:%s[%d] nip=%016lx"
931                  " instr=%08x addr=%016lx\n",
932                  smp_processor_id(), current->comm, current->pid, regs->nip,
933                  instr, (unsigned long) addr);
934
935         /* Grab instruction "selector" */
936         sel = (instr >> 6) & 3;
937
938         /*
939          * Check to make sure the facility is actually enabled. This
940          * could happen if we get a false positive hit.
941          *
942          * lxvd2x/lxvw4x always check MSR VSX sel = 0,2
943          * lxvh8x/lxvb16x check MSR VSX or VEC depending on VSR used sel = 1,3
944          */
945         msr_mask = MSR_VSX;
946         if ((sel & 1) && (instr & 1)) /* lxvh8x & lxvb16x + VSR >= 32 */
947                 msr_mask = MSR_VEC;
948         if (!(msr & msr_mask)) {
949                 pr_devel("HMI vec emu: MSR fac clear %i:%s[%d] nip=%016lx"
950                          " instr=%08x msr:%016lx\n",
951                          smp_processor_id(), current->comm, current->pid,
952                          regs->nip, instr, msr);
953                 return;
954         }
955
956         /* Do logging here before we modify sel based on endian */
957         switch (sel) {
958         case 0: /* lxvw4x */
959                 PPC_WARN_EMULATED(lxvw4x, regs);
960                 break;
961         case 1: /* lxvh8x */
962                 PPC_WARN_EMULATED(lxvh8x, regs);
963                 break;
964         case 2: /* lxvd2x */
965                 PPC_WARN_EMULATED(lxvd2x, regs);
966                 break;
967         case 3: /* lxvb16x */
968                 PPC_WARN_EMULATED(lxvb16x, regs);
969                 break;
970         }
971
972 #ifdef __LITTLE_ENDIAN__
973         /*
974          * An LE kernel stores the vector in the task struct as an LE
975          * byte array (effectively swapping both the components and
976          * the content of the components). Those instructions expect
977          * the components to remain in ascending address order, so we
978          * swap them back.
979          *
980          * If we are running a BE user space, the expectation is that
981          * of a simple memcpy, so forcing the emulation to look like
982          * a lxvb16x should do the trick.
983          */
984         if (swap)
985                 sel = 3;
986
987         switch (sel) {
988         case 0: /* lxvw4x */
989                 for (i = 0; i < 4; i++)
990                         ((u32 *)vdst)[i] = ((u32 *)vbuf)[3-i];
991                 break;
992         case 1: /* lxvh8x */
993                 for (i = 0; i < 8; i++)
994                         ((u16 *)vdst)[i] = ((u16 *)vbuf)[7-i];
995                 break;
996         case 2: /* lxvd2x */
997                 for (i = 0; i < 2; i++)
998                         ((u64 *)vdst)[i] = ((u64 *)vbuf)[1-i];
999                 break;
1000         case 3: /* lxvb16x */
1001                 for (i = 0; i < 16; i++)
1002                         vdst[i] = vbuf[15-i];
1003                 break;
1004         }
1005 #else /* __LITTLE_ENDIAN__ */
1006         /* On a big endian kernel, a BE userspace only needs a memcpy */
1007         if (!swap)
1008                 sel = 3;
1009
1010         /* Otherwise, we need to swap the content of the components */
1011         switch (sel) {
1012         case 0: /* lxvw4x */
1013                 for (i = 0; i < 4; i++)
1014                         ((u32 *)vdst)[i] = cpu_to_le32(((u32 *)vbuf)[i]);
1015                 break;
1016         case 1: /* lxvh8x */
1017                 for (i = 0; i < 8; i++)
1018                         ((u16 *)vdst)[i] = cpu_to_le16(((u16 *)vbuf)[i]);
1019                 break;
1020         case 2: /* lxvd2x */
1021                 for (i = 0; i < 2; i++)
1022                         ((u64 *)vdst)[i] = cpu_to_le64(((u64 *)vbuf)[i]);
1023                 break;
1024         case 3: /* lxvb16x */
1025                 memcpy(vdst, vbuf, 16);
1026                 break;
1027         }
1028 #endif /* !__LITTLE_ENDIAN__ */
1029
1030         /* Go to next instruction */
1031         regs->nip += 4;
1032 }
1033 #endif /* CONFIG_VSX */
1034
1035 void handle_hmi_exception(struct pt_regs *regs)
1036 {
1037         struct pt_regs *old_regs;
1038
1039         old_regs = set_irq_regs(regs);
1040         irq_enter();
1041
1042 #ifdef CONFIG_VSX
1043         /* Real mode flagged P9 special emu is needed */
1044         if (local_paca->hmi_p9_special_emu) {
1045                 local_paca->hmi_p9_special_emu = 0;
1046
1047                 /*
1048                  * We don't want to take page faults while doing the
1049                  * emulation, we just replay the instruction if necessary.
1050                  */
1051                 pagefault_disable();
1052                 p9_hmi_special_emu(regs);
1053                 pagefault_enable();
1054         }
1055 #endif /* CONFIG_VSX */
1056
1057         if (ppc_md.handle_hmi_exception)
1058                 ppc_md.handle_hmi_exception(regs);
1059
1060         irq_exit();
1061         set_irq_regs(old_regs);
1062 }
1063
1064 void unknown_exception(struct pt_regs *regs)
1065 {
1066         enum ctx_state prev_state = exception_enter();
1067
1068         printk("Bad trap at PC: %lx, SR: %lx, vector=%lx\n",
1069                regs->nip, regs->msr, regs->trap);
1070
1071         _exception(SIGTRAP, regs, TRAP_UNK, 0);
1072
1073         exception_exit(prev_state);
1074 }
1075
1076 void instruction_breakpoint_exception(struct pt_regs *regs)
1077 {
1078         enum ctx_state prev_state = exception_enter();
1079
1080         if (notify_die(DIE_IABR_MATCH, "iabr_match", regs, 5,
1081                                         5, SIGTRAP) == NOTIFY_STOP)
1082                 goto bail;
1083         if (debugger_iabr_match(regs))
1084                 goto bail;
1085         _exception(SIGTRAP, regs, TRAP_BRKPT, regs->nip);
1086
1087 bail:
1088         exception_exit(prev_state);
1089 }
1090
1091 void RunModeException(struct pt_regs *regs)
1092 {
1093         _exception(SIGTRAP, regs, TRAP_UNK, 0);
1094 }
1095
1096 void single_step_exception(struct pt_regs *regs)
1097 {
1098         enum ctx_state prev_state = exception_enter();
1099
1100         clear_single_step(regs);
1101         clear_br_trace(regs);
1102
1103         if (kprobe_post_handler(regs))
1104                 return;
1105
1106         if (notify_die(DIE_SSTEP, "single_step", regs, 5,
1107                                         5, SIGTRAP) == NOTIFY_STOP)
1108                 goto bail;
1109         if (debugger_sstep(regs))
1110                 goto bail;
1111
1112         _exception(SIGTRAP, regs, TRAP_TRACE, regs->nip);
1113
1114 bail:
1115         exception_exit(prev_state);
1116 }
1117 NOKPROBE_SYMBOL(single_step_exception);
1118
1119 /*
1120  * After we have successfully emulated an instruction, we have to
1121  * check if the instruction was being single-stepped, and if so,
1122  * pretend we got a single-step exception.  This was pointed out
1123  * by Kumar Gala.  -- paulus
1124  */
1125 static void emulate_single_step(struct pt_regs *regs)
1126 {
1127         if (single_stepping(regs))
1128                 single_step_exception(regs);
1129 }
1130
1131 static inline int __parse_fpscr(unsigned long fpscr)
1132 {
1133         int ret = FPE_FLTUNK;
1134
1135         /* Invalid operation */
1136         if ((fpscr & FPSCR_VE) && (fpscr & FPSCR_VX))
1137                 ret = FPE_FLTINV;
1138
1139         /* Overflow */
1140         else if ((fpscr & FPSCR_OE) && (fpscr & FPSCR_OX))
1141                 ret = FPE_FLTOVF;
1142
1143         /* Underflow */
1144         else if ((fpscr & FPSCR_UE) && (fpscr & FPSCR_UX))
1145                 ret = FPE_FLTUND;
1146
1147         /* Divide by zero */
1148         else if ((fpscr & FPSCR_ZE) && (fpscr & FPSCR_ZX))
1149                 ret = FPE_FLTDIV;
1150
1151         /* Inexact result */
1152         else if ((fpscr & FPSCR_XE) && (fpscr & FPSCR_XX))
1153                 ret = FPE_FLTRES;
1154
1155         return ret;
1156 }
1157
1158 static void parse_fpe(struct pt_regs *regs)
1159 {
1160         int code = 0;
1161
1162         flush_fp_to_thread(current);
1163
1164 #ifdef CONFIG_PPC_FPU_REGS
1165         code = __parse_fpscr(current->thread.fp_state.fpscr);
1166 #endif
1167
1168         _exception(SIGFPE, regs, code, regs->nip);
1169 }
1170
1171 /*
1172  * Illegal instruction emulation support.  Originally written to
1173  * provide the PVR to user applications using the mfspr rd, PVR.
1174  * Return non-zero if we can't emulate, or -EFAULT if the associated
1175  * memory access caused an access fault.  Return zero on success.
1176  *
1177  * There are a couple of ways to do this, either "decode" the instruction
1178  * or directly match lots of bits.  In this case, matching lots of
1179  * bits is faster and easier.
1180  *
1181  */
1182 static int emulate_string_inst(struct pt_regs *regs, u32 instword)
1183 {
1184         u8 rT = (instword >> 21) & 0x1f;
1185         u8 rA = (instword >> 16) & 0x1f;
1186         u8 NB_RB = (instword >> 11) & 0x1f;
1187         u32 num_bytes;
1188         unsigned long EA;
1189         int pos = 0;
1190
1191         /* Early out if we are an invalid form of lswx */
1192         if ((instword & PPC_INST_STRING_MASK) == PPC_INST_LSWX)
1193                 if ((rT == rA) || (rT == NB_RB))
1194                         return -EINVAL;
1195
1196         EA = (rA == 0) ? 0 : regs->gpr[rA];
1197
1198         switch (instword & PPC_INST_STRING_MASK) {
1199                 case PPC_INST_LSWX:
1200                 case PPC_INST_STSWX:
1201                         EA += NB_RB;
1202                         num_bytes = regs->xer & 0x7f;
1203                         break;
1204                 case PPC_INST_LSWI:
1205                 case PPC_INST_STSWI:
1206                         num_bytes = (NB_RB == 0) ? 32 : NB_RB;
1207                         break;
1208                 default:
1209                         return -EINVAL;
1210         }
1211
1212         while (num_bytes != 0)
1213         {
1214                 u8 val;
1215                 u32 shift = 8 * (3 - (pos & 0x3));
1216
1217                 /* if process is 32-bit, clear upper 32 bits of EA */
1218                 if ((regs->msr & MSR_64BIT) == 0)
1219                         EA &= 0xFFFFFFFF;
1220
1221                 switch ((instword & PPC_INST_STRING_MASK)) {
1222                         case PPC_INST_LSWX:
1223                         case PPC_INST_LSWI:
1224                                 if (get_user(val, (u8 __user *)EA))
1225                                         return -EFAULT;
1226                                 /* first time updating this reg,
1227                                  * zero it out */
1228                                 if (pos == 0)
1229                                         regs->gpr[rT] = 0;
1230                                 regs->gpr[rT] |= val << shift;
1231                                 break;
1232                         case PPC_INST_STSWI:
1233                         case PPC_INST_STSWX:
1234                                 val = regs->gpr[rT] >> shift;
1235                                 if (put_user(val, (u8 __user *)EA))
1236                                         return -EFAULT;
1237                                 break;
1238                 }
1239                 /* move EA to next address */
1240                 EA += 1;
1241                 num_bytes--;
1242
1243                 /* manage our position within the register */
1244                 if (++pos == 4) {
1245                         pos = 0;
1246                         if (++rT == 32)
1247                                 rT = 0;
1248                 }
1249         }
1250
1251         return 0;
1252 }
1253
1254 static int emulate_popcntb_inst(struct pt_regs *regs, u32 instword)
1255 {
1256         u32 ra,rs;
1257         unsigned long tmp;
1258
1259         ra = (instword >> 16) & 0x1f;
1260         rs = (instword >> 21) & 0x1f;
1261
1262         tmp = regs->gpr[rs];
1263         tmp = tmp - ((tmp >> 1) & 0x5555555555555555ULL);
1264         tmp = (tmp & 0x3333333333333333ULL) + ((tmp >> 2) & 0x3333333333333333ULL);
1265         tmp = (tmp + (tmp >> 4)) & 0x0f0f0f0f0f0f0f0fULL;
1266         regs->gpr[ra] = tmp;
1267
1268         return 0;
1269 }
1270
1271 static int emulate_isel(struct pt_regs *regs, u32 instword)
1272 {
1273         u8 rT = (instword >> 21) & 0x1f;
1274         u8 rA = (instword >> 16) & 0x1f;
1275         u8 rB = (instword >> 11) & 0x1f;
1276         u8 BC = (instword >> 6) & 0x1f;
1277         u8 bit;
1278         unsigned long tmp;
1279
1280         tmp = (rA == 0) ? 0 : regs->gpr[rA];
1281         bit = (regs->ccr >> (31 - BC)) & 0x1;
1282
1283         regs->gpr[rT] = bit ? tmp : regs->gpr[rB];
1284
1285         return 0;
1286 }
1287
1288 #ifdef CONFIG_PPC_TRANSACTIONAL_MEM
1289 static inline bool tm_abort_check(struct pt_regs *regs, int cause)
1290 {
1291         /* If we're emulating a load/store in an active transaction, we cannot
1292          * emulate it as the kernel operates in transaction suspended context.
1293          * We need to abort the transaction.  This creates a persistent TM
1294          * abort so tell the user what caused it with a new code.
1295          */
1296         if (MSR_TM_TRANSACTIONAL(regs->msr)) {
1297                 tm_enable();
1298                 tm_abort(cause);
1299                 return true;
1300         }
1301         return false;
1302 }
1303 #else
1304 static inline bool tm_abort_check(struct pt_regs *regs, int reason)
1305 {
1306         return false;
1307 }
1308 #endif
1309
1310 static int emulate_instruction(struct pt_regs *regs)
1311 {
1312         u32 instword;
1313         u32 rd;
1314
1315         if (!user_mode(regs))
1316                 return -EINVAL;
1317         CHECK_FULL_REGS(regs);
1318
1319         if (get_user(instword, (u32 __user *)(regs->nip)))
1320                 return -EFAULT;
1321
1322         /* Emulate the mfspr rD, PVR. */
1323         if ((instword & PPC_INST_MFSPR_PVR_MASK) == PPC_INST_MFSPR_PVR) {
1324                 PPC_WARN_EMULATED(mfpvr, regs);
1325                 rd = (instword >> 21) & 0x1f;
1326                 regs->gpr[rd] = mfspr(SPRN_PVR);
1327                 return 0;
1328         }
1329
1330         /* Emulating the dcba insn is just a no-op.  */
1331         if ((instword & PPC_INST_DCBA_MASK) == PPC_INST_DCBA) {
1332                 PPC_WARN_EMULATED(dcba, regs);
1333                 return 0;
1334         }
1335
1336         /* Emulate the mcrxr insn.  */
1337         if ((instword & PPC_INST_MCRXR_MASK) == PPC_INST_MCRXR) {
1338                 int shift = (instword >> 21) & 0x1c;
1339                 unsigned long msk = 0xf0000000UL >> shift;
1340
1341                 PPC_WARN_EMULATED(mcrxr, regs);
1342                 regs->ccr = (regs->ccr & ~msk) | ((regs->xer >> shift) & msk);
1343                 regs->xer &= ~0xf0000000UL;
1344                 return 0;
1345         }
1346
1347         /* Emulate load/store string insn. */
1348         if ((instword & PPC_INST_STRING_GEN_MASK) == PPC_INST_STRING) {
1349                 if (tm_abort_check(regs,
1350                                    TM_CAUSE_EMULATE | TM_CAUSE_PERSISTENT))
1351                         return -EINVAL;
1352                 PPC_WARN_EMULATED(string, regs);
1353                 return emulate_string_inst(regs, instword);
1354         }
1355
1356         /* Emulate the popcntb (Population Count Bytes) instruction. */
1357         if ((instword & PPC_INST_POPCNTB_MASK) == PPC_INST_POPCNTB) {
1358                 PPC_WARN_EMULATED(popcntb, regs);
1359                 return emulate_popcntb_inst(regs, instword);
1360         }
1361
1362         /* Emulate isel (Integer Select) instruction */
1363         if ((instword & PPC_INST_ISEL_MASK) == PPC_INST_ISEL) {
1364                 PPC_WARN_EMULATED(isel, regs);
1365                 return emulate_isel(regs, instword);
1366         }
1367
1368         /* Emulate sync instruction variants */
1369         if ((instword & PPC_INST_SYNC_MASK) == PPC_INST_SYNC) {
1370                 PPC_WARN_EMULATED(sync, regs);
1371                 asm volatile("sync");
1372                 return 0;
1373         }
1374
1375 #ifdef CONFIG_PPC64
1376         /* Emulate the mfspr rD, DSCR. */
1377         if ((((instword & PPC_INST_MFSPR_DSCR_USER_MASK) ==
1378                 PPC_INST_MFSPR_DSCR_USER) ||
1379              ((instword & PPC_INST_MFSPR_DSCR_MASK) ==
1380                 PPC_INST_MFSPR_DSCR)) &&
1381                         cpu_has_feature(CPU_FTR_DSCR)) {
1382                 PPC_WARN_EMULATED(mfdscr, regs);
1383                 rd = (instword >> 21) & 0x1f;
1384                 regs->gpr[rd] = mfspr(SPRN_DSCR);
1385                 return 0;
1386         }
1387         /* Emulate the mtspr DSCR, rD. */
1388         if ((((instword & PPC_INST_MTSPR_DSCR_USER_MASK) ==
1389                 PPC_INST_MTSPR_DSCR_USER) ||
1390              ((instword & PPC_INST_MTSPR_DSCR_MASK) ==
1391                 PPC_INST_MTSPR_DSCR)) &&
1392                         cpu_has_feature(CPU_FTR_DSCR)) {
1393                 PPC_WARN_EMULATED(mtdscr, regs);
1394                 rd = (instword >> 21) & 0x1f;
1395                 current->thread.dscr = regs->gpr[rd];
1396                 current->thread.dscr_inherit = 1;
1397                 mtspr(SPRN_DSCR, current->thread.dscr);
1398                 return 0;
1399         }
1400 #endif
1401
1402         return -EINVAL;
1403 }
1404
1405 int is_valid_bugaddr(unsigned long addr)
1406 {
1407         return is_kernel_addr(addr);
1408 }
1409
1410 #ifdef CONFIG_MATH_EMULATION
1411 static int emulate_math(struct pt_regs *regs)
1412 {
1413         int ret;
1414         extern int do_mathemu(struct pt_regs *regs);
1415
1416         ret = do_mathemu(regs);
1417         if (ret >= 0)
1418                 PPC_WARN_EMULATED(math, regs);
1419
1420         switch (ret) {
1421         case 0:
1422                 emulate_single_step(regs);
1423                 return 0;
1424         case 1: {
1425                         int code = 0;
1426                         code = __parse_fpscr(current->thread.fp_state.fpscr);
1427                         _exception(SIGFPE, regs, code, regs->nip);
1428                         return 0;
1429                 }
1430         case -EFAULT:
1431                 _exception(SIGSEGV, regs, SEGV_MAPERR, regs->nip);
1432                 return 0;
1433         }
1434
1435         return -1;
1436 }
1437 #else
1438 static inline int emulate_math(struct pt_regs *regs) { return -1; }
1439 #endif
1440
1441 void program_check_exception(struct pt_regs *regs)
1442 {
1443         enum ctx_state prev_state = exception_enter();
1444         unsigned int reason = get_reason(regs);
1445
1446         /* We can now get here via a FP Unavailable exception if the core
1447          * has no FPU, in that case the reason flags will be 0 */
1448
1449         if (reason & REASON_FP) {
1450                 /* IEEE FP exception */
1451                 parse_fpe(regs);
1452                 goto bail;
1453         }
1454         if (reason & REASON_TRAP) {
1455                 unsigned long bugaddr;
1456                 /* Debugger is first in line to stop recursive faults in
1457                  * rcu_lock, notify_die, or atomic_notifier_call_chain */
1458                 if (debugger_bpt(regs))
1459                         goto bail;
1460
1461                 if (kprobe_handler(regs))
1462                         goto bail;
1463
1464                 /* trap exception */
1465                 if (notify_die(DIE_BPT, "breakpoint", regs, 5, 5, SIGTRAP)
1466                                 == NOTIFY_STOP)
1467                         goto bail;
1468
1469                 bugaddr = regs->nip;
1470                 /*
1471                  * Fixup bugaddr for BUG_ON() in real mode
1472                  */
1473                 if (!is_kernel_addr(bugaddr) && !(regs->msr & MSR_IR))
1474                         bugaddr += PAGE_OFFSET;
1475
1476                 if (!(regs->msr & MSR_PR) &&  /* not user-mode */
1477                     report_bug(bugaddr, regs) == BUG_TRAP_TYPE_WARN) {
1478                         regs->nip += 4;
1479                         goto bail;
1480                 }
1481                 _exception(SIGTRAP, regs, TRAP_BRKPT, regs->nip);
1482                 goto bail;
1483         }
1484 #ifdef CONFIG_PPC_TRANSACTIONAL_MEM
1485         if (reason & REASON_TM) {
1486                 /* This is a TM "Bad Thing Exception" program check.
1487                  * This occurs when:
1488                  * -  An rfid/hrfid/mtmsrd attempts to cause an illegal
1489                  *    transition in TM states.
1490                  * -  A trechkpt is attempted when transactional.
1491                  * -  A treclaim is attempted when non transactional.
1492                  * -  A tend is illegally attempted.
1493                  * -  writing a TM SPR when transactional.
1494                  *
1495                  * If usermode caused this, it's done something illegal and
1496                  * gets a SIGILL slap on the wrist.  We call it an illegal
1497                  * operand to distinguish from the instruction just being bad
1498                  * (e.g. executing a 'tend' on a CPU without TM!); it's an
1499                  * illegal /placement/ of a valid instruction.
1500                  */
1501                 if (user_mode(regs)) {
1502                         _exception(SIGILL, regs, ILL_ILLOPN, regs->nip);
1503                         goto bail;
1504                 } else {
1505                         printk(KERN_EMERG "Unexpected TM Bad Thing exception "
1506                                "at %lx (msr 0x%lx) tm_scratch=%llx\n",
1507                                regs->nip, regs->msr, get_paca()->tm_scratch);
1508                         die("Unrecoverable exception", regs, SIGABRT);
1509                 }
1510         }
1511 #endif
1512
1513         /*
1514          * If we took the program check in the kernel skip down to sending a
1515          * SIGILL. The subsequent cases all relate to emulating instructions
1516          * which we should only do for userspace. We also do not want to enable
1517          * interrupts for kernel faults because that might lead to further
1518          * faults, and loose the context of the original exception.
1519          */
1520         if (!user_mode(regs))
1521                 goto sigill;
1522
1523         /* We restore the interrupt state now */
1524         if (!arch_irq_disabled_regs(regs))
1525                 local_irq_enable();
1526
1527         /* (reason & REASON_ILLEGAL) would be the obvious thing here,
1528          * but there seems to be a hardware bug on the 405GP (RevD)
1529          * that means ESR is sometimes set incorrectly - either to
1530          * ESR_DST (!?) or 0.  In the process of chasing this with the
1531          * hardware people - not sure if it can happen on any illegal
1532          * instruction or only on FP instructions, whether there is a
1533          * pattern to occurrences etc. -dgibson 31/Mar/2003
1534          */
1535         if (!emulate_math(regs))
1536                 goto bail;
1537
1538         /* Try to emulate it if we should. */
1539         if (reason & (REASON_ILLEGAL | REASON_PRIVILEGED)) {
1540                 switch (emulate_instruction(regs)) {
1541                 case 0:
1542                         regs->nip += 4;
1543                         emulate_single_step(regs);
1544                         goto bail;
1545                 case -EFAULT:
1546                         _exception(SIGSEGV, regs, SEGV_MAPERR, regs->nip);
1547                         goto bail;
1548                 }
1549         }
1550
1551 sigill:
1552         if (reason & REASON_PRIVILEGED)
1553                 _exception(SIGILL, regs, ILL_PRVOPC, regs->nip);
1554         else
1555                 _exception(SIGILL, regs, ILL_ILLOPC, regs->nip);
1556
1557 bail:
1558         exception_exit(prev_state);
1559 }
1560 NOKPROBE_SYMBOL(program_check_exception);
1561
1562 /*
1563  * This occurs when running in hypervisor mode on POWER6 or later
1564  * and an illegal instruction is encountered.
1565  */
1566 void emulation_assist_interrupt(struct pt_regs *regs)
1567 {
1568         regs->msr |= REASON_ILLEGAL;
1569         program_check_exception(regs);
1570 }
1571 NOKPROBE_SYMBOL(emulation_assist_interrupt);
1572
1573 void alignment_exception(struct pt_regs *regs)
1574 {
1575         enum ctx_state prev_state = exception_enter();
1576         int sig, code, fixed = 0;
1577         unsigned long  reason;
1578
1579         /* We restore the interrupt state now */
1580         if (!arch_irq_disabled_regs(regs))
1581                 local_irq_enable();
1582
1583         reason = get_reason(regs);
1584
1585         if (reason & REASON_BOUNDARY) {
1586                 sig = SIGBUS;
1587                 code = BUS_ADRALN;
1588                 goto bad;
1589         }
1590
1591         if (tm_abort_check(regs, TM_CAUSE_ALIGNMENT | TM_CAUSE_PERSISTENT))
1592                 goto bail;
1593
1594         /* we don't implement logging of alignment exceptions */
1595         if (!(current->thread.align_ctl & PR_UNALIGN_SIGBUS))
1596                 fixed = fix_alignment(regs);
1597
1598         if (fixed == 1) {
1599                 /* skip over emulated instruction */
1600                 regs->nip += inst_length(reason);
1601                 emulate_single_step(regs);
1602                 goto bail;
1603         }
1604
1605         /* Operand address was bad */
1606         if (fixed == -EFAULT) {
1607                 sig = SIGSEGV;
1608                 code = SEGV_ACCERR;
1609         } else {
1610                 sig = SIGBUS;
1611                 code = BUS_ADRALN;
1612         }
1613 bad:
1614         if (user_mode(regs))
1615                 _exception(sig, regs, code, regs->dar);
1616         else
1617                 bad_page_fault(regs, sig);
1618
1619 bail:
1620         exception_exit(prev_state);
1621 }
1622
1623 void StackOverflow(struct pt_regs *regs)
1624 {
1625         pr_crit("Kernel stack overflow in process %s[%d], r1=%lx\n",
1626                 current->comm, task_pid_nr(current), regs->gpr[1]);
1627         debugger(regs);
1628         show_regs(regs);
1629         panic("kernel stack overflow");
1630 }
1631
1632 void stack_overflow_exception(struct pt_regs *regs)
1633 {
1634         enum ctx_state prev_state = exception_enter();
1635
1636         die("Kernel stack overflow", regs, SIGSEGV);
1637
1638         exception_exit(prev_state);
1639 }
1640
1641 void kernel_fp_unavailable_exception(struct pt_regs *regs)
1642 {
1643         enum ctx_state prev_state = exception_enter();
1644
1645         printk(KERN_EMERG "Unrecoverable FP Unavailable Exception "
1646                           "%lx at %lx\n", regs->trap, regs->nip);
1647         die("Unrecoverable FP Unavailable Exception", regs, SIGABRT);
1648
1649         exception_exit(prev_state);
1650 }
1651
1652 void altivec_unavailable_exception(struct pt_regs *regs)
1653 {
1654         enum ctx_state prev_state = exception_enter();
1655
1656         if (user_mode(regs)) {
1657                 /* A user program has executed an altivec instruction,
1658                    but this kernel doesn't support altivec. */
1659                 _exception(SIGILL, regs, ILL_ILLOPC, regs->nip);
1660                 goto bail;
1661         }
1662
1663         printk(KERN_EMERG "Unrecoverable VMX/Altivec Unavailable Exception "
1664                         "%lx at %lx\n", regs->trap, regs->nip);
1665         die("Unrecoverable VMX/Altivec Unavailable Exception", regs, SIGABRT);
1666
1667 bail:
1668         exception_exit(prev_state);
1669 }
1670
1671 void vsx_unavailable_exception(struct pt_regs *regs)
1672 {
1673         if (user_mode(regs)) {
1674                 /* A user program has executed an vsx instruction,
1675                    but this kernel doesn't support vsx. */
1676                 _exception(SIGILL, regs, ILL_ILLOPC, regs->nip);
1677                 return;
1678         }
1679
1680         printk(KERN_EMERG "Unrecoverable VSX Unavailable Exception "
1681                         "%lx at %lx\n", regs->trap, regs->nip);
1682         die("Unrecoverable VSX Unavailable Exception", regs, SIGABRT);
1683 }
1684
1685 #ifdef CONFIG_PPC64
1686 static void tm_unavailable(struct pt_regs *regs)
1687 {
1688 #ifdef CONFIG_PPC_TRANSACTIONAL_MEM
1689         if (user_mode(regs)) {
1690                 current->thread.load_tm++;
1691                 regs->msr |= MSR_TM;
1692                 tm_enable();
1693                 tm_restore_sprs(&current->thread);
1694                 return;
1695         }
1696 #endif
1697         pr_emerg("Unrecoverable TM Unavailable Exception "
1698                         "%lx at %lx\n", regs->trap, regs->nip);
1699         die("Unrecoverable TM Unavailable Exception", regs, SIGABRT);
1700 }
1701
1702 void facility_unavailable_exception(struct pt_regs *regs)
1703 {
1704         static char *facility_strings[] = {
1705                 [FSCR_FP_LG] = "FPU",
1706                 [FSCR_VECVSX_LG] = "VMX/VSX",
1707                 [FSCR_DSCR_LG] = "DSCR",
1708                 [FSCR_PM_LG] = "PMU SPRs",
1709                 [FSCR_BHRB_LG] = "BHRB",
1710                 [FSCR_TM_LG] = "TM",
1711                 [FSCR_EBB_LG] = "EBB",
1712                 [FSCR_TAR_LG] = "TAR",
1713                 [FSCR_MSGP_LG] = "MSGP",
1714                 [FSCR_SCV_LG] = "SCV",
1715                 [FSCR_PREFIX_LG] = "PREFIX",
1716         };
1717         char *facility = "unknown";
1718         u64 value;
1719         u32 instword, rd;
1720         u8 status;
1721         bool hv;
1722
1723         hv = (TRAP(regs) == 0xf80);
1724         if (hv)
1725                 value = mfspr(SPRN_HFSCR);
1726         else
1727                 value = mfspr(SPRN_FSCR);
1728
1729         status = value >> 56;
1730         if ((hv || status >= 2) &&
1731             (status < ARRAY_SIZE(facility_strings)) &&
1732             facility_strings[status])
1733                 facility = facility_strings[status];
1734
1735         /* We should not have taken this interrupt in kernel */
1736         if (!user_mode(regs)) {
1737                 pr_emerg("Facility '%s' unavailable (%d) exception in kernel mode at %lx\n",
1738                          facility, status, regs->nip);
1739                 die("Unexpected facility unavailable exception", regs, SIGABRT);
1740         }
1741
1742         /* We restore the interrupt state now */
1743         if (!arch_irq_disabled_regs(regs))
1744                 local_irq_enable();
1745
1746         if (status == FSCR_DSCR_LG) {
1747                 /*
1748                  * User is accessing the DSCR register using the problem
1749                  * state only SPR number (0x03) either through a mfspr or
1750                  * a mtspr instruction. If it is a write attempt through
1751                  * a mtspr, then we set the inherit bit. This also allows
1752                  * the user to write or read the register directly in the
1753                  * future by setting via the FSCR DSCR bit. But in case it
1754                  * is a read DSCR attempt through a mfspr instruction, we
1755                  * just emulate the instruction instead. This code path will
1756                  * always emulate all the mfspr instructions till the user
1757                  * has attempted at least one mtspr instruction. This way it
1758                  * preserves the same behaviour when the user is accessing
1759                  * the DSCR through privilege level only SPR number (0x11)
1760                  * which is emulated through illegal instruction exception.
1761                  * We always leave HFSCR DSCR set.
1762                  */
1763                 if (get_user(instword, (u32 __user *)(regs->nip))) {
1764                         pr_err("Failed to fetch the user instruction\n");
1765                         return;
1766                 }
1767
1768                 /* Write into DSCR (mtspr 0x03, RS) */
1769                 if ((instword & PPC_INST_MTSPR_DSCR_USER_MASK)
1770                                 == PPC_INST_MTSPR_DSCR_USER) {
1771                         rd = (instword >> 21) & 0x1f;
1772                         current->thread.dscr = regs->gpr[rd];
1773                         current->thread.dscr_inherit = 1;
1774                         current->thread.fscr |= FSCR_DSCR;
1775                         mtspr(SPRN_FSCR, current->thread.fscr);
1776                 }
1777
1778                 /* Read from DSCR (mfspr RT, 0x03) */
1779                 if ((instword & PPC_INST_MFSPR_DSCR_USER_MASK)
1780                                 == PPC_INST_MFSPR_DSCR_USER) {
1781                         if (emulate_instruction(regs)) {
1782                                 pr_err("DSCR based mfspr emulation failed\n");
1783                                 return;
1784                         }
1785                         regs->nip += 4;
1786                         emulate_single_step(regs);
1787                 }
1788                 return;
1789         }
1790
1791         if (status == FSCR_TM_LG) {
1792                 /*
1793                  * If we're here then the hardware is TM aware because it
1794                  * generated an exception with FSRM_TM set.
1795                  *
1796                  * If cpu_has_feature(CPU_FTR_TM) is false, then either firmware
1797                  * told us not to do TM, or the kernel is not built with TM
1798                  * support.
1799                  *
1800                  * If both of those things are true, then userspace can spam the
1801                  * console by triggering the printk() below just by continually
1802                  * doing tbegin (or any TM instruction). So in that case just
1803                  * send the process a SIGILL immediately.
1804                  */
1805                 if (!cpu_has_feature(CPU_FTR_TM))
1806                         goto out;
1807
1808                 tm_unavailable(regs);
1809                 return;
1810         }
1811
1812         pr_err_ratelimited("%sFacility '%s' unavailable (%d), exception at 0x%lx, MSR=%lx\n",
1813                 hv ? "Hypervisor " : "", facility, status, regs->nip, regs->msr);
1814
1815 out:
1816         _exception(SIGILL, regs, ILL_ILLOPC, regs->nip);
1817 }
1818 #endif
1819
1820 #ifdef CONFIG_PPC_TRANSACTIONAL_MEM
1821
1822 void fp_unavailable_tm(struct pt_regs *regs)
1823 {
1824         /* Note:  This does not handle any kind of FP laziness. */
1825
1826         TM_DEBUG("FP Unavailable trap whilst transactional at 0x%lx, MSR=%lx\n",
1827                  regs->nip, regs->msr);
1828
1829         /* We can only have got here if the task started using FP after
1830          * beginning the transaction.  So, the transactional regs are just a
1831          * copy of the checkpointed ones.  But, we still need to recheckpoint
1832          * as we're enabling FP for the process; it will return, abort the
1833          * transaction, and probably retry but now with FP enabled.  So the
1834          * checkpointed FP registers need to be loaded.
1835          */
1836         tm_reclaim_current(TM_CAUSE_FAC_UNAV);
1837
1838         /*
1839          * Reclaim initially saved out bogus (lazy) FPRs to ckfp_state, and
1840          * then it was overwrite by the thr->fp_state by tm_reclaim_thread().
1841          *
1842          * At this point, ck{fp,vr}_state contains the exact values we want to
1843          * recheckpoint.
1844          */
1845
1846         /* Enable FP for the task: */
1847         current->thread.load_fp = 1;
1848
1849         /*
1850          * Recheckpoint all the checkpointed ckpt, ck{fp, vr}_state registers.
1851          */
1852         tm_recheckpoint(&current->thread);
1853 }
1854
1855 void altivec_unavailable_tm(struct pt_regs *regs)
1856 {
1857         /* See the comments in fp_unavailable_tm().  This function operates
1858          * the same way.
1859          */
1860
1861         TM_DEBUG("Vector Unavailable trap whilst transactional at 0x%lx,"
1862                  "MSR=%lx\n",
1863                  regs->nip, regs->msr);
1864         tm_reclaim_current(TM_CAUSE_FAC_UNAV);
1865         current->thread.load_vec = 1;
1866         tm_recheckpoint(&current->thread);
1867         current->thread.used_vr = 1;
1868 }
1869
1870 void vsx_unavailable_tm(struct pt_regs *regs)
1871 {
1872         /* See the comments in fp_unavailable_tm().  This works similarly,
1873          * though we're loading both FP and VEC registers in here.
1874          *
1875          * If FP isn't in use, load FP regs.  If VEC isn't in use, load VEC
1876          * regs.  Either way, set MSR_VSX.
1877          */
1878
1879         TM_DEBUG("VSX Unavailable trap whilst transactional at 0x%lx,"
1880                  "MSR=%lx\n",
1881                  regs->nip, regs->msr);
1882
1883         current->thread.used_vsr = 1;
1884
1885         /* This reclaims FP and/or VR regs if they're already enabled */
1886         tm_reclaim_current(TM_CAUSE_FAC_UNAV);
1887
1888         current->thread.load_vec = 1;
1889         current->thread.load_fp = 1;
1890
1891         tm_recheckpoint(&current->thread);
1892 }
1893 #endif /* CONFIG_PPC_TRANSACTIONAL_MEM */
1894
1895 void performance_monitor_exception(struct pt_regs *regs)
1896 {
1897         __this_cpu_inc(irq_stat.pmu_irqs);
1898
1899         perf_irq(regs);
1900 }
1901
1902 #ifdef CONFIG_PPC_ADV_DEBUG_REGS
1903 static void handle_debug(struct pt_regs *regs, unsigned long debug_status)
1904 {
1905         int changed = 0;
1906         /*
1907          * Determine the cause of the debug event, clear the
1908          * event flags and send a trap to the handler. Torez
1909          */
1910         if (debug_status & (DBSR_DAC1R | DBSR_DAC1W)) {
1911                 dbcr_dac(current) &= ~(DBCR_DAC1R | DBCR_DAC1W);
1912 #ifdef CONFIG_PPC_ADV_DEBUG_DAC_RANGE
1913                 current->thread.debug.dbcr2 &= ~DBCR2_DAC12MODE;
1914 #endif
1915                 do_send_trap(regs, mfspr(SPRN_DAC1), debug_status,
1916                              5);
1917                 changed |= 0x01;
1918         }  else if (debug_status & (DBSR_DAC2R | DBSR_DAC2W)) {
1919                 dbcr_dac(current) &= ~(DBCR_DAC2R | DBCR_DAC2W);
1920                 do_send_trap(regs, mfspr(SPRN_DAC2), debug_status,
1921                              6);
1922                 changed |= 0x01;
1923         }  else if (debug_status & DBSR_IAC1) {
1924                 current->thread.debug.dbcr0 &= ~DBCR0_IAC1;
1925                 dbcr_iac_range(current) &= ~DBCR_IAC12MODE;
1926                 do_send_trap(regs, mfspr(SPRN_IAC1), debug_status,
1927                              1);
1928                 changed |= 0x01;
1929         }  else if (debug_status & DBSR_IAC2) {
1930                 current->thread.debug.dbcr0 &= ~DBCR0_IAC2;
1931                 do_send_trap(regs, mfspr(SPRN_IAC2), debug_status,
1932                              2);
1933                 changed |= 0x01;
1934         }  else if (debug_status & DBSR_IAC3) {
1935                 current->thread.debug.dbcr0 &= ~DBCR0_IAC3;
1936                 dbcr_iac_range(current) &= ~DBCR_IAC34MODE;
1937                 do_send_trap(regs, mfspr(SPRN_IAC3), debug_status,
1938                              3);
1939                 changed |= 0x01;
1940         }  else if (debug_status & DBSR_IAC4) {
1941                 current->thread.debug.dbcr0 &= ~DBCR0_IAC4;
1942                 do_send_trap(regs, mfspr(SPRN_IAC4), debug_status,
1943                              4);
1944                 changed |= 0x01;
1945         }
1946         /*
1947          * At the point this routine was called, the MSR(DE) was turned off.
1948          * Check all other debug flags and see if that bit needs to be turned
1949          * back on or not.
1950          */
1951         if (DBCR_ACTIVE_EVENTS(current->thread.debug.dbcr0,
1952                                current->thread.debug.dbcr1))
1953                 regs->msr |= MSR_DE;
1954         else
1955                 /* Make sure the IDM flag is off */
1956                 current->thread.debug.dbcr0 &= ~DBCR0_IDM;
1957
1958         if (changed & 0x01)
1959                 mtspr(SPRN_DBCR0, current->thread.debug.dbcr0);
1960 }
1961
1962 void DebugException(struct pt_regs *regs)
1963 {
1964         unsigned long debug_status = regs->dsisr;
1965
1966         current->thread.debug.dbsr = debug_status;
1967
1968         /* Hack alert: On BookE, Branch Taken stops on the branch itself, while
1969          * on server, it stops on the target of the branch. In order to simulate
1970          * the server behaviour, we thus restart right away with a single step
1971          * instead of stopping here when hitting a BT
1972          */
1973         if (debug_status & DBSR_BT) {
1974                 regs->msr &= ~MSR_DE;
1975
1976                 /* Disable BT */
1977                 mtspr(SPRN_DBCR0, mfspr(SPRN_DBCR0) & ~DBCR0_BT);
1978                 /* Clear the BT event */
1979                 mtspr(SPRN_DBSR, DBSR_BT);
1980
1981                 /* Do the single step trick only when coming from userspace */
1982                 if (user_mode(regs)) {
1983                         current->thread.debug.dbcr0 &= ~DBCR0_BT;
1984                         current->thread.debug.dbcr0 |= DBCR0_IDM | DBCR0_IC;
1985                         regs->msr |= MSR_DE;
1986                         return;
1987                 }
1988
1989                 if (kprobe_post_handler(regs))
1990                         return;
1991
1992                 if (notify_die(DIE_SSTEP, "block_step", regs, 5,
1993                                5, SIGTRAP) == NOTIFY_STOP) {
1994                         return;
1995                 }
1996                 if (debugger_sstep(regs))
1997                         return;
1998         } else if (debug_status & DBSR_IC) {    /* Instruction complete */
1999                 regs->msr &= ~MSR_DE;
2000
2001                 /* Disable instruction completion */
2002                 mtspr(SPRN_DBCR0, mfspr(SPRN_DBCR0) & ~DBCR0_IC);
2003                 /* Clear the instruction completion event */
2004                 mtspr(SPRN_DBSR, DBSR_IC);
2005
2006                 if (kprobe_post_handler(regs))
2007                         return;
2008
2009                 if (notify_die(DIE_SSTEP, "single_step", regs, 5,
2010                                5, SIGTRAP) == NOTIFY_STOP) {
2011                         return;
2012                 }
2013
2014                 if (debugger_sstep(regs))
2015                         return;
2016
2017                 if (user_mode(regs)) {
2018                         current->thread.debug.dbcr0 &= ~DBCR0_IC;
2019                         if (DBCR_ACTIVE_EVENTS(current->thread.debug.dbcr0,
2020                                                current->thread.debug.dbcr1))
2021                                 regs->msr |= MSR_DE;
2022                         else
2023                                 /* Make sure the IDM bit is off */
2024                                 current->thread.debug.dbcr0 &= ~DBCR0_IDM;
2025                 }
2026
2027                 _exception(SIGTRAP, regs, TRAP_TRACE, regs->nip);
2028         } else
2029                 handle_debug(regs, debug_status);
2030 }
2031 NOKPROBE_SYMBOL(DebugException);
2032 #endif /* CONFIG_PPC_ADV_DEBUG_REGS */
2033
2034 #ifdef CONFIG_ALTIVEC
2035 void altivec_assist_exception(struct pt_regs *regs)
2036 {
2037         int err;
2038
2039         if (!user_mode(regs)) {
2040                 printk(KERN_EMERG "VMX/Altivec assist exception in kernel mode"
2041                        " at %lx\n", regs->nip);
2042                 die("Kernel VMX/Altivec assist exception", regs, SIGILL);
2043         }
2044
2045         flush_altivec_to_thread(current);
2046
2047         PPC_WARN_EMULATED(altivec, regs);
2048         err = emulate_altivec(regs);
2049         if (err == 0) {
2050                 regs->nip += 4;         /* skip emulated instruction */
2051                 emulate_single_step(regs);
2052                 return;
2053         }
2054
2055         if (err == -EFAULT) {
2056                 /* got an error reading the instruction */
2057                 _exception(SIGSEGV, regs, SEGV_ACCERR, regs->nip);
2058         } else {
2059                 /* didn't recognize the instruction */
2060                 /* XXX quick hack for now: set the non-Java bit in the VSCR */
2061                 printk_ratelimited(KERN_ERR "Unrecognized altivec instruction "
2062                                    "in %s at %lx\n", current->comm, regs->nip);
2063                 current->thread.vr_state.vscr.u[3] |= 0x10000;
2064         }
2065 }
2066 #endif /* CONFIG_ALTIVEC */
2067
2068 #ifdef CONFIG_FSL_BOOKE
2069 void CacheLockingException(struct pt_regs *regs)
2070 {
2071         unsigned long error_code = regs->dsisr;
2072
2073         /* We treat cache locking instructions from the user
2074          * as priv ops, in the future we could try to do
2075          * something smarter
2076          */
2077         if (error_code & (ESR_DLK|ESR_ILK))
2078                 _exception(SIGILL, regs, ILL_PRVOPC, regs->nip);
2079         return;
2080 }
2081 #endif /* CONFIG_FSL_BOOKE */
2082
2083 #ifdef CONFIG_SPE
2084 void SPEFloatingPointException(struct pt_regs *regs)
2085 {
2086         extern int do_spe_mathemu(struct pt_regs *regs);
2087         unsigned long spefscr;
2088         int fpexc_mode;
2089         int code = FPE_FLTUNK;
2090         int err;
2091
2092         /* We restore the interrupt state now */
2093         if (!arch_irq_disabled_regs(regs))
2094                 local_irq_enable();
2095
2096         flush_spe_to_thread(current);
2097
2098         spefscr = current->thread.spefscr;
2099         fpexc_mode = current->thread.fpexc_mode;
2100
2101         if ((spefscr & SPEFSCR_FOVF) && (fpexc_mode & PR_FP_EXC_OVF)) {
2102                 code = FPE_FLTOVF;
2103         }
2104         else if ((spefscr & SPEFSCR_FUNF) && (fpexc_mode & PR_FP_EXC_UND)) {
2105                 code = FPE_FLTUND;
2106         }
2107         else if ((spefscr & SPEFSCR_FDBZ) && (fpexc_mode & PR_FP_EXC_DIV))
2108                 code = FPE_FLTDIV;
2109         else if ((spefscr & SPEFSCR_FINV) && (fpexc_mode & PR_FP_EXC_INV)) {
2110                 code = FPE_FLTINV;
2111         }
2112         else if ((spefscr & (SPEFSCR_FG | SPEFSCR_FX)) && (fpexc_mode & PR_FP_EXC_RES))
2113                 code = FPE_FLTRES;
2114
2115         err = do_spe_mathemu(regs);
2116         if (err == 0) {
2117                 regs->nip += 4;         /* skip emulated instruction */
2118                 emulate_single_step(regs);
2119                 return;
2120         }
2121
2122         if (err == -EFAULT) {
2123                 /* got an error reading the instruction */
2124                 _exception(SIGSEGV, regs, SEGV_ACCERR, regs->nip);
2125         } else if (err == -EINVAL) {
2126                 /* didn't recognize the instruction */
2127                 printk(KERN_ERR "unrecognized spe instruction "
2128                        "in %s at %lx\n", current->comm, regs->nip);
2129         } else {
2130                 _exception(SIGFPE, regs, code, regs->nip);
2131         }
2132
2133         return;
2134 }
2135
2136 void SPEFloatingPointRoundException(struct pt_regs *regs)
2137 {
2138         extern int speround_handler(struct pt_regs *regs);
2139         int err;
2140
2141         /* We restore the interrupt state now */
2142         if (!arch_irq_disabled_regs(regs))
2143                 local_irq_enable();
2144
2145         preempt_disable();
2146         if (regs->msr & MSR_SPE)
2147                 giveup_spe(current);
2148         preempt_enable();
2149
2150         regs->nip -= 4;
2151         err = speround_handler(regs);
2152         if (err == 0) {
2153                 regs->nip += 4;         /* skip emulated instruction */
2154                 emulate_single_step(regs);
2155                 return;
2156         }
2157
2158         if (err == -EFAULT) {
2159                 /* got an error reading the instruction */
2160                 _exception(SIGSEGV, regs, SEGV_ACCERR, regs->nip);
2161         } else if (err == -EINVAL) {
2162                 /* didn't recognize the instruction */
2163                 printk(KERN_ERR "unrecognized spe instruction "
2164                        "in %s at %lx\n", current->comm, regs->nip);
2165         } else {
2166                 _exception(SIGFPE, regs, FPE_FLTUNK, regs->nip);
2167                 return;
2168         }
2169 }
2170 #endif
2171
2172 /*
2173  * We enter here if we get an unrecoverable exception, that is, one
2174  * that happened at a point where the RI (recoverable interrupt) bit
2175  * in the MSR is 0.  This indicates that SRR0/1 are live, and that
2176  * we therefore lost state by taking this exception.
2177  */
2178 void unrecoverable_exception(struct pt_regs *regs)
2179 {
2180         pr_emerg("Unrecoverable exception %lx at %lx (msr=%lx)\n",
2181                  regs->trap, regs->nip, regs->msr);
2182         die("Unrecoverable exception", regs, SIGABRT);
2183 }
2184 NOKPROBE_SYMBOL(unrecoverable_exception);
2185
2186 #if defined(CONFIG_BOOKE_WDT) || defined(CONFIG_40x)
2187 /*
2188  * Default handler for a Watchdog exception,
2189  * spins until a reboot occurs
2190  */
2191 void __attribute__ ((weak)) WatchdogHandler(struct pt_regs *regs)
2192 {
2193         /* Generic WatchdogHandler, implement your own */
2194         mtspr(SPRN_TCR, mfspr(SPRN_TCR)&(~TCR_WIE));
2195         return;
2196 }
2197
2198 void WatchdogException(struct pt_regs *regs)
2199 {
2200         printk (KERN_EMERG "PowerPC Book-E Watchdog Exception\n");
2201         WatchdogHandler(regs);
2202 }
2203 #endif
2204
2205 /*
2206  * We enter here if we discover during exception entry that we are
2207  * running in supervisor mode with a userspace value in the stack pointer.
2208  */
2209 void kernel_bad_stack(struct pt_regs *regs)
2210 {
2211         printk(KERN_EMERG "Bad kernel stack pointer %lx at %lx\n",
2212                regs->gpr[1], regs->nip);
2213         die("Bad kernel stack pointer", regs, SIGABRT);
2214 }
2215 NOKPROBE_SYMBOL(kernel_bad_stack);
2216
2217 void __init trap_init(void)
2218 {
2219 }
2220
2221
2222 #ifdef CONFIG_PPC_EMULATED_STATS
2223
2224 #define WARN_EMULATED_SETUP(type)       .type = { .name = #type }
2225
2226 struct ppc_emulated ppc_emulated = {
2227 #ifdef CONFIG_ALTIVEC
2228         WARN_EMULATED_SETUP(altivec),
2229 #endif
2230         WARN_EMULATED_SETUP(dcba),
2231         WARN_EMULATED_SETUP(dcbz),
2232         WARN_EMULATED_SETUP(fp_pair),
2233         WARN_EMULATED_SETUP(isel),
2234         WARN_EMULATED_SETUP(mcrxr),
2235         WARN_EMULATED_SETUP(mfpvr),
2236         WARN_EMULATED_SETUP(multiple),
2237         WARN_EMULATED_SETUP(popcntb),
2238         WARN_EMULATED_SETUP(spe),
2239         WARN_EMULATED_SETUP(string),
2240         WARN_EMULATED_SETUP(sync),
2241         WARN_EMULATED_SETUP(unaligned),
2242 #ifdef CONFIG_MATH_EMULATION
2243         WARN_EMULATED_SETUP(math),
2244 #endif
2245 #ifdef CONFIG_VSX
2246         WARN_EMULATED_SETUP(vsx),
2247 #endif
2248 #ifdef CONFIG_PPC64
2249         WARN_EMULATED_SETUP(mfdscr),
2250         WARN_EMULATED_SETUP(mtdscr),
2251         WARN_EMULATED_SETUP(lq_stq),
2252         WARN_EMULATED_SETUP(lxvw4x),
2253         WARN_EMULATED_SETUP(lxvh8x),
2254         WARN_EMULATED_SETUP(lxvd2x),
2255         WARN_EMULATED_SETUP(lxvb16x),
2256 #endif
2257 };
2258
2259 u32 ppc_warn_emulated;
2260
2261 void ppc_warn_emulated_print(const char *type)
2262 {
2263         pr_warn_ratelimited("%s used emulated %s instruction\n", current->comm,
2264                             type);
2265 }
2266
2267 static int __init ppc_warn_emulated_init(void)
2268 {
2269         struct dentry *dir;
2270         unsigned int i;
2271         struct ppc_emulated_entry *entries = (void *)&ppc_emulated;
2272
2273         dir = debugfs_create_dir("emulated_instructions",
2274                                  powerpc_debugfs_root);
2275
2276         debugfs_create_u32("do_warn", 0644, dir, &ppc_warn_emulated);
2277
2278         for (i = 0; i < sizeof(ppc_emulated)/sizeof(*entries); i++)
2279                 debugfs_create_u32(entries[i].name, 0644, dir,
2280                                    (u32 *)&entries[i].val.counter);
2281
2282         return 0;
2283 }
2284
2285 device_initcall(ppc_warn_emulated_init);
2286
2287 #endif /* CONFIG_PPC_EMULATED_STATS */